欧美性猛交xxxx免费看_牛牛在线视频国产免费_天堂草原电视剧在线观看免费_国产粉嫩高清在线观看_国产欧美日本亚洲精品一5区

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

TLM通信中常用的術(shù)語

我快閉嘴 ? 來源:FPGA學(xué)姐 ? 作者:FPGA學(xué)姐 ? 2022-09-19 10:24 ? 次閱讀

TLM是transaction Level Modeling(事務(wù)級建模)的縮寫。簡單來說,一個transaction就是把具有某一特定功能的一組信息封裝在一起而成為的一個類。

如何要在兩個uvm_component之間通信,例如實現(xiàn)monitor和scoreboard通信,最簡單的方法就是使用全局變量,在monitor里對此全局變量進行賦值,在scoreboard里監(jiān)測此全局變量值的改變。

這種方法簡單、直接,不過要避免使用全局變量,濫用全局變量只會造成災(zāi)難性的后果。

e8db61de-373e-11ed-ba43-dac502259ad0.png

由config機制的特性可以想出另一種方法來,即從uvm_object派生出一個參數(shù)config_object,在此類中有monitor要傳給scoreboard的變量。在base_test中,實例化這個config_object,并將其指針通過config_db#(config_object)::set傳遞給scoreboard和monitor。

當(dāng)monitor要和scoreboard通信時,只要把此config_object中相應(yīng)變量的值改變即可。scoreboard中則監(jiān)測變量值的改變,監(jiān)測到之后做相應(yīng)動作。但是一是要引入一個專門的config_object類,二是一定要有base_test這個第三方的參與。永遠不能保證某一個從base_test派生而來的類會不會改變這個config_object類中某些變量的值。

  • TLM通信中有如下幾個常用的術(shù)語

1)put操作,通信的發(fā)起者A把一個transaction發(fā)送給B。。A具有的端口(用方框表示)稱為PORT,而B的端口(用圓圈表示)稱為EXPORT。這個過程中,數(shù)據(jù)流是從A流向B的。

e9060e66-373e-11ed-ba43-dac502259ad0.png

2)get操作,A向B索取一個transaction。A上的端口依然是PORT,而B上的端口依然是EXPORT。這個過程中,數(shù)據(jù)流是從B流向A的。到這里,讀者應(yīng)該意識到,PORT和EXPORT體現(xiàn)的是控制流而不是數(shù)據(jù)流。

3)transport操作,A上的端口依然是PORT,而B上的端口依然是EXPORT。A依然是“發(fā)起者”,B依然是“目標”。在這個過程中,數(shù)據(jù)流先從A流向B,再從B流向A。在現(xiàn)實世界中,相當(dāng)于是A向B提交了一個請求(request),而B返回給A一個應(yīng)答(response)。所以這種transport操作也常常被稱做request-response操作。

put、get和transport操作都有阻塞和非阻塞之分。

e91fc34c-373e-11ed-ba43-dac502259ad0.png

  • PORT與EXPORT

PORT具有高優(yōu)先級,而EXPORT具有低優(yōu)先級。只有高優(yōu)先級的端口才能向低優(yōu)先級的端口發(fā)起三種操作

UVM提供對TLM操作的支持,在其中實現(xiàn)了PORT與EXPORT。對應(yīng)于不同的操作,有不同的PORT,UVM中常用的PORT有

uvm_blocking_put_port#(T);uvm_nonblocking_put_port#(T);uvm_put_port#(T);uvm_blocking_get_port#(T);uvm_nonblocking_get_port#(T);uvm_get_port#(T);uvm_blocking_peek_port#(T);uvm_nonblocking_peek_port#(T);uvm_peek_port#(T);//peek系列端口,它們與get系列端口類似,用于主動獲取數(shù)據(jù)uvm_blocking_get_peek_port#(T);uvm_nonblocking_get_peek_port#(T);uvm_get_peek_port#(T);//集合了get操作和peek操作兩者的功能uvm_blocking_transport_port#(REQ, RSP);uvm_nonblocking_transport_port#(REQ, RSP);uvm_transport_port#(REQ, RSP);

這15個端口中前12個定義中的參數(shù)就是這個PORT中的數(shù)據(jù)流類型,而最后3個定義中的參數(shù)則表示transport操作中發(fā)起請求時傳輸?shù)臄?shù)據(jù)類型和返回的數(shù)據(jù)類型

TLM中的操作,同時以blocking和nonblocking關(guān)鍵字區(qū)分。對于名稱中不含這兩者的,則表示這個端口既可以用作是阻塞的,也可以用作是非阻塞的,否則只能用于阻塞的或者只能用于非阻塞的。

  • 下面的15種EXPORT定義與前面的15種PORT一一對應(yīng)

來源:UVM源代碼uvm_blocking_put_export#(T);uvm_nonblocking_put_export#(T);uvm_put_export#(T);uvm_blocking_get_export#(T);uvm_nonblocking_get_export#(T);uvm_get_export#(T);uvm_blocking_peek_export#(T);uvm_nonblocking_peek_export#(T);uvm_peek_export#(T);uvm_blocking_get_peek_export#(T);uvm_nonblocking_get_peek_export#(T);uvm_get_peek_export#(T);uvm_blocking_transport_export#(REQ, RSP);uvm_nonblocking_transport_export#(REQ, RSP);uvm_transport_export#(REQ, RSP);

  • PORT與EXPORT的連接

為了實現(xiàn)端口間的通信,UVM 中使用connect 函數(shù)來建立連接關(guān)系。如A要和B通信(A 是發(fā)起者),那么可以這么寫:A.port.connect(B.export),但是不能寫成B.export.connect(A.port),只有發(fā)起者才能調(diào)用connect 函數(shù)。

舉例,A的代碼為

文件:src/ch4/section4.2/4.2.1/A.sv3 classAextendsuvm_component;`uvm_component_utils(A)uvm_blocking_put_port#(my_transaction)A_port;endclass14functionvoidA::build_phase(uvm_phasephase);super.build_phase(phase);A_port=new("A_port",this);endfunction19taskA::main_phase(uvm_phasephase);endtask

接一個EXPORT。B的代碼為

文件:src/ch4/section4.2/4.2.1/B.sv3classBextendsuvm_component;`uvm_component_utils(B)uvm_blocking_put_export#(my_transaction)B_export;…endclassfunctionvoidB::build_phase(uvm_phasephase);super.build_phase(phase);B_export=new("B_export",this);endfunction19taskB::main_phase(uvm_phasephase); endtask

在env中建立兩者之間的連接:

文件:src/ch4/section4.2/4.2.1/my_env.sv4 class my_env extends uvm_env;A   A_inst;B   B_inst;virtual function void build_phase(uvm_phase phase);A_inst = A::type_id::create("A_inst", this);B_inst = B::type_id::create("B_inst", this);endfunctionendclassfunction void my_env::connect_phase(uvm_phase phase);super.connect_phase(phase);A_inst.A_port.connect(B_inst.B_export);endfunction

審核編輯:湯梓紅

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1630

    文章

    21803

    瀏覽量

    606464
  • 通信
    +關(guān)注

    關(guān)注

    18

    文章

    6081

    瀏覽量

    136493
  • TLM
    TLM
    +關(guān)注

    關(guān)注

    1

    文章

    32

    瀏覽量

    24785

原文標題:UVM里的TLM通信機制

文章出處:【微信號:FPGA學(xué)姐,微信公眾號:FPGA學(xué)姐】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    電子技術(shù)中常用符號及術(shù)語大全

    電子技術(shù)中常用符號及術(shù)語大全 VBO …… Breakover Voltage 轉(zhuǎn)折電壓 VC …… Clamping Voltage 箝位電壓 VDC、 VR …… DC Reverse Voltage 反向
    發(fā)表于 12-04 09:13 ?4033次閱讀

    通信中常聽到的band38、39指什么?

    通信中常聽到的band38、39指什么?為什么lte有時工作頻率可以是2.3G?
    發(fā)表于 01-25 23:15

    無線通信中術(shù)語匯總

    無線通信中術(shù)語介紹
    發(fā)表于 12-21 06:02

    OpenGL常用術(shù)語有哪些?

    OpenGL常用術(shù)語解析
    發(fā)表于 03-18 06:57

    嵌入式領(lǐng)域中常用的5種通信協(xié)議是什么

    文章目錄嵌入式領(lǐng)域中常用的5種通信協(xié)議嵌入式領(lǐng)域中常用的5種通信協(xié)議串口協(xié)議(UART/USART):串口總線其實就是一種通信協(xié)議,這種協(xié)議
    發(fā)表于 12-14 06:22

    通信專業(yè)常用英語術(shù)語詳解

    通信專業(yè)常用英語術(shù)語詳解ADM Add Drop Multiplexer 分插復(fù)用器: 利用時隙交換實現(xiàn)寬帶管理,即允許兩個STM-N信號之間的不同VC實現(xiàn)互連,并且具有無需分接和終結(jié)整
    發(fā)表于 11-23 16:43 ?0次下載

    電子技術(shù)中常用符號及術(shù)語

    符號及術(shù)語SYMBOLS  
    發(fā)表于 03-29 16:59 ?5122次閱讀

    LED照明常用術(shù)語

    LED照明常用術(shù)語
    發(fā)表于 03-01 09:08 ?860次閱讀

    網(wǎng)絡(luò)設(shè)備中常術(shù)語含義及故障分析

    網(wǎng)絡(luò)設(shè)備中常術(shù)語含義及故障分析,詳細解釋了在網(wǎng)絡(luò)設(shè)備使用中常見的術(shù)語
    發(fā)表于 12-27 11:38 ?1.5w次閱讀

    智慧農(nóng)業(yè)中的常用術(shù)語解析

    了解智慧農(nóng)業(yè)中常用術(shù)語的定義,這些術(shù)語包括農(nóng)業(yè)4.0、農(nóng)藝數(shù)據(jù)、物聯(lián)網(wǎng)、農(nóng)業(yè)即服務(wù)、精準農(nóng)業(yè)等。
    的頭像 發(fā)表于 12-29 14:49 ?5187次閱讀

    數(shù)據(jù)通信中的模擬數(shù)據(jù)和數(shù)字數(shù)據(jù)

    在數(shù)據(jù)通信中,概念性的術(shù)語很多,只有弄清楚這些概念術(shù)語,才能真正地掌握數(shù)據(jù)通信的意義。
    發(fā)表于 08-26 11:50 ?1.1w次閱讀

    科普:示波器常用術(shù)語解釋

    科普:示波器常用術(shù)語解釋
    發(fā)表于 07-15 18:55 ?3次下載
    科普:示波器<b class='flag-5'>常用</b>的<b class='flag-5'>術(shù)語</b>解釋

    分享:單片機常用術(shù)語

    分享:單片機常用術(shù)語
    發(fā)表于 02-10 11:11 ?6次下載
    分享:單片機<b class='flag-5'>常用</b><b class='flag-5'>術(shù)語</b>

    無線通信系統(tǒng)中常用的HARQ機制

    本文主要簡述一下在無線通信系統(tǒng)中常用的HARQ機制。注意,在不同的標準中,HARQ傳輸機制有所不同。
    的頭像 發(fā)表于 08-05 09:07 ?2403次閱讀

    100個數(shù)字IC設(shè)計中常用的縮寫或術(shù)語

    下面為大家收集了100個數(shù)字IC設(shè)計中常用的縮寫或術(shù)語,供大家參考,為初學(xué)者門的學(xué)習(xí)添磚加瓦。
    發(fā)表于 06-20 12:43 ?3810次閱讀